Home  |  Contact  |  Sitemap  |  中文  |  CAS
 
About Us
News
Research
People
International Cooperation
Education & Training
Societies & Publications
Papers
Industrial System
Sitemap
Contact Us
 
东京工业大学.jpg
 
Location: Home > News > Events

EUV on Track for 2010 Launch
Author:
ArticleSource:
Update time: 2010-01-08
Close
Text Size: A A A
Print
For many people in the industry, extreme ultraviolet lithography (EUVL) is the preferred next-generation lithography choice. It is a single-exposure, high-throughput technology that promises cost-effective volume production. However, some reports recently have suggested the technology is not progressing fast enough.
Hans Meiling and Christian Wagner, Senior Product Managers, EUV, ASML Holding NV, Veldhoven, Netherlands -- Semiconductor International, 12/1/2009
Hans Meiling,  Senior Product Managers, EUV, ASML Holding NV (six0912expert_Meiling.jpg)
Christian Wagner, Senior Product Manager, EUV, ASML Holding NV (six0912expert_Wagner.jpg)

For many people in the industry, extreme ultraviolet lithography (EUVL) is the preferred next-generation lithography choice. It is a single-exposure, high-throughput technology that promises cost-effective volume production.

However, some reports recently have suggested the technology is not progressing fast enough. We disagree; EUVL is, in fact, well on schedule. The first EUVL systems should ship for process development next year and volume production could begin in 2012. Both dates are in line with the roadmap ASML published last year.

Imaging resolved

EUVL's imaging power is already well established through the work of numerous teams using ASML's two alpha demo tools (ADTs). Resist improvements have pushed the ADTs' resolution from 40 to 28 nm in the past 15 months — using conventional illumination and without OPC or RETs.

High image quality is now a matter of routine. Recently published images of 28 nm half-pitch dense line/space and flash patterns show 3σ CD uniformity of ~10% — in line with the 2008 International Technology Roadmap for Semiconductors (ITRS) requirements for 28 nm NAND flash. Moreover, stable single-machine overlay of 5 nm has been demonstrated over an extended period.

EUVL has already been used in functional devices at 22 nm — a test chip from the IBM Alliance in March and SRAM cells from IMEC in April. In both cases, contact holes and the Metal 1 layer were exposed in EUV on ASML's ADTs.

Platform timetable

ASML will launch the first commercial EUVL systems in 2010, with its Twinscan NXE platform. The first generation will have a numerical aperture (NA) of 0.25, 4.5 nm overlay, and will be capable of 60 wph. It will target process development at 27 nm half-pitch and below. Further systems with enhanced resolution and throughput are planned.

The NXE systems will include optical modules with significantly better performance than the optics used in the ADTs. Carl Zeiss SMT AG has developed an optical roadmap to support the ASML platform, with a consistent optical concept down to at least 16 nm. In addition, Zeiss has already produced more than 20 mirrors that meet the specification for the first NXE tools and is currently making the final adjustments to the first completed lens/illuminator sets.

A source of promise

Of course, there are still a number of issues surrounding EUVL that need to be addressed. Currently, the three most important areas are sources, resists and mask quality/inspection capabilities. Each of these areas has seen encouraging progress.

EUV sources for lithography systems will be based on either laser-produced plasma (LPP) or discharge-produced plasma (DPP) of tin. The ADTs have recently been upgraded with a second-generation DPP source. This has increased EUV output power at intermediate focus by a factor of 10, boosting throughput capabilities to more than 4 wph. Further DPP source advances were presented by Philips Extreme UV at this year's SPIE Advanced Lithography conference in San Jose.

The first-generation NXE systems will feature an integrated LPP source module from Cymer. Again at SPIE, Cymer reported it had boosted the output from a prototype module by a factor of 10 in just five months. It is now working on further improvements to reach the 100 W at intermediate focus needed for the system's 60 wph target.

Infrastructure matters

As already mentioned, resist development over the past 15 months has been impressive. Further development is still needed for 22 nm materials and to achieve the sensitivity required for high productivity, but resists have progressed so rapidly that they are no longer considered a critical issue for volume introduction.

Instead, infrastructure focus has shifted to masks. EUV masks are similar in form and function to those used for 193 nm lithography, except that they are reflective. Producing masks for sub-30 nm production is a huge challenge. Much of this challenge is simply due to the small feature sizes involved and needs to be addressed whatever lithography technology is used.

Nonetheless, ASML is working with mask manufacturers and customers to identify the key mask requirements that are specific to EUV lithography, and develop potential solutions. From these investigations, we see that mask blanks already meet ITRS requirements in most areas; the remaining challenges being defects and flatness.

As some observers have pointed out, better mask inspection equipment is needed to meet these challenges. Techniques to mitigate the effects of mask defects are also being investigated.

More investment is needed in masks and inspection gear. However, history shows the industry is slow to invest in ancillary technologies while the main lithography technology is still in development.

Progress in these areas will accelerate rapidly once EUV reaches pre-production. With that scheduled for next year, semiconductor manufacturers should now be pressing infrastructure suppliers for more development and suppliers should be looking to seize the opportunities available to early adopters.

COPYRIGHT (C) 2007 Microelectronice of Chinese Academy of Sciences. ALL RIGHT RESSRVED