Home  |  Contact  |  Sitemap  |  中文  |  CAS
 
About Us
News
Research
People
International Cooperation
Education & Training
Societies & Publications
Papers
Industrial System
Sitemap
Contact Us
 
东京工业大学.jpg
 
Location: Home > People > Faculty and Staff

LUO Jun
Author:
ArticleSource:
Update time: 2016-11-25
Close
Text Size: A A A
Print

Name:LUO Jun

Gender:Male

Title:Associate Professor

Nationality:P.R.China

Education:Ph. D

E-Mail: luojun@ime.ac.cn

Department:Integrated Circuit Advanced Process Center (ICAC)

Address:No. 3 Bei-Tu-Cheng West Rd., Chaoyang District, Beijing, P. R. China

Postcode:100029

Tel:+86-10-82995876

Fax:+86-10-82995684

Education Background:

Jun Luo received the B. S. degree in electrochemical engineering from China University of Geosciences, Wuhan, China in 2002, and M. S. degree in material science and engineering from Xiamen University, Xiamen, China, in 2005. He received the Ph. D. degree in microelectronics and applied physics from Royal Institute of Technology (KTH), Stockholm, Sweden, in 2010.

Professional Experience:

Dr. Jun Luo joined the Institute of Microelectronics of Chinese Academy of Sciences (IMECAS), Beijing, China in August, 2010. Now he is an associate professor of Integrated Circuit Advanced Process Center (ICAC).

Research Interests:

His current research interests cover the CMOS technology, advanced source/drain and contact engineering, 2D materials and device technology.

Publications:

1. J. Luo, J. Liu, E. Simoen, G. Wang, S. Mao, H. H. Radamson, N. Duan, J. Li, W. Wang, D. Chen, C. Zhao, T. Ye: (Invited) On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping. ECS Transactions 09/2016; 75(4). DOI:10.1149/07504.0219ecst

2. G. Wang, J. Luo, C. Qin, H. Cui, J. Liu, K. Jia, J. Li, T. Yang, H. Yin, C. Zhao, T. Ye, P. Yang, G. Jayakumar, H. H. Radamson: Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs. ECS Transactions 09/2016; 75(8). DOI:10.1149/07508.0273ecst

3. Kunpeng Jia, Yajuan Su, Jun Zhan, Kashif Shahzad, Huilong Zhu, Chao Zhao, Jun Luo*: Enhanced End-Contacts by Helium Ion Bombardment to Improve Graphene-Metal Contacts. Nanomaterials 08/2016; 6(9). DOI:10.3390/nano6090158

4. Changliang Qin, Guilei Wang, M. Kolahdouz, Jun Luo, Huaxing Yin, Ping Yang, Junfeng Li, Huilong Zhu, Zhao Chao, Tianchun Ye, Henry H. Radamson: Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14nm node FinFETs.

5. Eddy Simoen, Marc Schaekers, Jinbiao Liu, Jun Luo, Chao Zhao, Kathy Barla, Nadine Collaert: Defect engineering for shallow n‐type junctions in germanium: Facts and fiction. Physica Status Solidi (A) Applications and Materials 07/2016; DOI:10.1002/pssa.201600491

6. Guilei Wang, Changliang Qin, Huaxiang Yin, Jun Luo*, Ningyuan Duan, Ping Yang, Xingyu Gao, Tao Yang, Junfeng Li, Jiang Yan, Huilong Zhu, WenWu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao, Henry H. Radamson: Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14nm nodes FinFET technology. Microelectronic Engineering 06/2016; 163. DOI:10.1016/j.mee.2016.06.002

7. Chaochao Fu, Xiangbiao Zhou, Yan Wang, Peng Xu, Ming Xu, Dongping Wu, Jun Luo, Chao Zhao, Shi-Li Zhang: Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. Materials 04/2016; 9(5). DOI:10.3390/ma9050315

8. Wen Fang, Anabela Veloso, Eddy simoen, M.-J. Cho, Nadine Collaert, Aaron Thean, Jun Luo, Chao Zhao, Tianchun Ye, Cor Claeys: Impact of the effective work function gate metal on the low-frequency noise of gate-all-around Silicon-on-Insulator NWFETs. IEEE Electron Device Letters 04/2016; 37(4). DOI:10.1109/LED.2016.2530849

9. Ningyuan Duan, Jun Luo*, Guilei Wang, Jinbiao Liu, Eddy Simoen, Shujuan Mao, Henry Radamson, Xiaolei Wang, Junfeng Li, Wenwu Wang, Chao Zhao, Tianchun Ye: Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation. IEEE Transactions on Electron Devices 01/2016; DOI:10.1109/TED.2016.2610461

10. Kunpeng Jia, Yajuan Su, Jun Zhan, Kashif Shahzad, Huilong Zhu, Chao Zhao, Jun Luo*: Nanomaterials.

11. J. B. Liu, J. Luo, E. Simoen, Y. X. Niu, F. Yang, G. L. Wang, W. W. Wang, D. P. Chen, J. F. Li, C. Zhao, T. C. Ye: Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium. 01/2016; 5(6). DOI:10.1149/2.0091606jss

12. Kunpeng Jia, Jun Luo, Rongyan Hu, Jun Zhan, Heshi Cao, Yajuan Su, Huilong Zhu, Ling Xie, Chao Zhao, Dapeng Chen, Tianchun Ye: Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. 12/2015; 5(3). DOI:10.1149/2.0011603jss

13. E. Simoen, A. Alian, H. Arimura, D. Lin, H. Mertens, J. Mitard, S. Sioncke, W. Fang, J. Luo, C. Zhao, A. Mocuta, N. Collaert, A. Thean, C. Claeys: (Invited) The Assessment of Border Traps in High-Mobility Channel Materials. ECS Transactions 10/2015; 69(5). DOI:10.1149/06905.0205ecst

14. Y. Zhang, S. Wei, M. Li, C. Zhao, J. Luo*, C. Huang: Simulation and optimization of solid-state nanopore for single-nanoparticle detection.

15. Wenhui Chen, Jun Luo, Lingkuan Meng, Junjie Li, Jinjuan Xiang, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao: Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. Thin Solid Films 10/2015; DOI:10.1016/j.tsf.2015.10.032

16. Hushan Cui, Jun Luo, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Zhaoyun Tang, Xiaolei Wang, Yihong Lu, Xiaobin He, Tingting Li, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao, Tianchun Ye: Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22 nm and beyond nodes CMOS technology. Vacuum 09/2015; 119. DOI:10.1016/j.vacuum.2015.05.021

17. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Régis Carin, Jun Luo, Chao Zhao, Cor Claeys: Low-Frequency Noise Spectroscopy of Bulk and Border Traps in Nanoscale Devices. Solid State Phenomena 09/2015; 242. DOI:10.4028/www.scientific.net/SSP.242.449

18. Yiluan Guo, Guilei Wang, Chao Zhao, Jun Luo*: Simulation and characterization of stress in FinFETs using novel LKMC and nanobeam diffraction methods. Journal of Semiconductors 08/2015; 36(8). DOI:10.1088/1674-4926/36/8/086001

19. Eddy Simoen, W. Fang, M. Aoulaiche, J. Luo, C. Zhao, C. Claeys: Random Telegraph Noise: The key to single defect studies in nano-devices. Thin Solid Films 08/2015; DOI:10.1016/j.tsf.2015.08.037

20. Guilei Wang, M Moeen, A Abedin, Yefeng Xu, Jun Luo, Yiluan Guo, Changliang Qin, Zhaoyun Tang, Haizhou Yin, Junfeng Li, Jiang Yan, Huilong Zhu, Chao Zhao, Dapeng Chen, Tianchun Ye, M Kolahdouz, Henry H Radamson: Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. Solid-State Electronics 07/2015; 114. DOI:10.1016/j.sse.2015.07.003

21. Yu Zhang, Guiya Liu, MinQuan Li, Jun Luo*, Chengjun Huang: Simulation analysis of nanopore performance in single-nanoparticle detection.

22. Wen Fang, Eddy Simoen, Hiroaki Arimura, Jerome Mitard, Sonja Sioncke, Hans Mertens, Anda Mocuta, Nadine Collaert, Jun Luo, Chao Zhao, Aaron Voon-Yew Thean, Cor Claeys: Low-Frequency Noise Characterization of GeO x Passivated Germanium MOSFETs. IEEE Transactions on Electron Devices 07/2015; 62(7). DOI:10.1109/TED.2015.2430367

23. Kunpeng Jia, Yajuan Su, Yang Chen, Jun Luo*, Jie Yang, Peng Lv, Zihan Zhang, Huilong Zhu, Chao Zhao, Tianchun Ye: Effects of defects and thermal treatment on the properties of graphene. Vacuum 06/2015; 116. DOI:10.1016/j.vacuum.2015.03.003

24. Qilong Bao, Tiankai Zhu, Ning Zhou, Shiping Guo, Jun Luo, Chao Zhao: Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue? MOCVD platform. Journal of Crystal Growth 06/2015; 419. DOI:10.1016/j.jcrysgro.2015.02.084

25. Xu Miao, Yin Huaxiang, Zhu Huilong, Ma Xiaolong, Xu Weijia, Zhang Yongkui, Zhao Zhiguo, Luo Jun, Yang Hong, Li Chunlong, Meng Lingkuan, Hong Peizhen, Xiang Jinjuan, Gao Jianfeng, Xu Qiang, Xiong Wenjuan, Wang Dahai, Li Junfeng, Zhao Chao, Chen Dapeng, Yang Simon, Ye Tianchun: Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs. Journal of Semiconductors 04/2015; 36(4). DOI:10.1088/1674-4926/36/4/044007

26. Qiang Xu, Jun Luo, Guilei Wang, Tao Yang, Junfeng Li, Tianchun Ye, Dapeng Chen, Chao Zhao: Application of ALD W films as gate filling metal in 22nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. Microelectronic Engineering 04/2015; 137(1). DOI:10.1016/j.mee.2015.01.007

27. Qingbo Liu, Guilei Wang, Ningyuan Duan, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo*: Effects of Carbon Pre-Germanidation Implantation on the Thermal Stability of NiGe and Dopant Segregation on Both n-and p-Type Ge Substrate. 02/2015; 4(5). DOI:10.1149/2.0041505jss]

28. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Distinction between silicon and oxide traps using single-trap spectroscopy. Physica Status Solidi (A) Applications and Materials 02/2015; 212(3). DOI:10.1002/pssa.201400087

29. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo*: Effects of carbon pre-germanidation implant into Ge on the thermal stability of NiGe films. Microelectronic Engineering 02/2015; 133. DOI:10.1016/j.mee.2014.11.008

30. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Hong Liu, Chao Zhao, Jun Luo*: Optimization of a two-step Ni(5% Pt) germanosilicidation process and the redistribution of Pt in Ni(Pt)Si1?xGex germanosilicide. Vacuum 01/2015; 111. DOI:10.1016/j.vacuum.2014.10.007

31. Yiluan Guo, Jun Luo*, Guilei Wang, Xingxing Ke, Qingbo Liu, Chao Zhao: Systematic comparison between a new lattice kinetic Monte Carlo method and conventional polyhedron method for stress simulation in FinFETs.

32. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Study of ΔID/ID of a single charge trap in utbox silicon films.

33. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Regis Carin, Sara dos Santos, Jun Luo, Chao Zhao, Joao Antonio Martino, Cor Claeys: Towards single-trap spectroscopy: Generation-recombination noise in UTBOX SOI nMOSFETs. Physica Status Solidi (C) Current Topics in Solid State Physics 01/2015; 12(3). DOI:10.1002/pssc.201400075

34. Qingbo Liu, Wang Geilei, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo*: Improvement of the Thermal Stability of Nickel Stanogermanide by Carbon Pre-Stanogermanidation Implant into GeSn Substrate. 01/2015; 4(3). DOI:10.1149/2.0041503jss]

35. Guilei Wang, Ahmad Abedin, Mahdi Moeen, Mohammadreza Kolahdouz, Jun Luo*, Yiluan Guo, Tao Chen, Huaxiang Yin, Huilong Zhu, Junfeng Li, Chao Zhao, Henry H Radamson: Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid-State Electronics 12/2014; 103. DOI:10.1016/j.sse.2014.07.008

36. Xing Wei, Jian Zhong, Jun Luo, Hao Wu, Huilong Zhu, Chao Zhao, Haizhou Yin: FinFETs using reverse substrate layer with improved gate capacitance characteristics for subthreshold application. Solid-State Electronics 11/2014; 104. DOI:10.1016/j.sse.2014.10.011

37. Wenhui Chen, Jun Luo, Peixiong Shi, Chunlong Li, Xiaobin He, Peizhen Hong, Junfeng Li, Chao Zhao: Self-assembling Morphologies of Symmetric PS-b-PMMA in Different Sized Confining Grooves. RSC Advances 09/2014; 4(92). DOI:10.1039/C4RA09573A

38. LingKuan Meng, Chunlong Li, Xiaobin He, Jun Luo, Jiang Yan, Junfeng Li, Chao Zhao: Innovatively composite hard mask to feature sub-30nm gate patterning. Microelectronic Engineering 09/2014; 127. DOI:10.1016/j.mee.2014.02.010

39. Lichuan Zhao, Zhaoyun Tang, Bo Tang, Xueli Ma, Jinbiao Liu, Jinjuan Xiang, Jianfeng Gao, Chunlong Li, Xiaobin He, Cheng Jia, Mingzheng Ding, Hong Yang, Yefeng Xu, Jing Xu, Hongli Wang, Peng Liu, Peizhen Hong, Lingkuan Meng, Tingting Li, Wenjuan Xiong, Hao Wu, Junjie Li, Guilei Wang, Tao Yang, Hushan Cui, Yihong Lu, Xiaodong Tong, Jun Luo, Jian Zhong, Qiang Xu, Wenwu Wang, Junfeng Li, Huilong Zhu, Chao Zhao, Jiang Yan, Dapeng Chen, Simon Yang, Tianchun Ye: Mitigation of reverse short-channel effect with multilayer TiN/Ti/TiN metal gates in gate last PMOSFETs. IEEE Electron Device Letters 08/2014; 35(8). DOI:10.1109/LED.2014.2331356

40. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael ?stling, Tianchun Ye, Shi-Li Zhang: Effects of carbon pre-silicidation implant into Si substrate on NiSi. Microelectronic Engineering 05/2014; 120. DOI:10.1016/j.mee.2013.08.010

41. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael ?stling, Tianchun Ye, Shi-Li Zhang: Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements. Microelectronic Engineering 05/2014; 120. DOI:10.1016/j.mee.2013.09.003

42. C. K. Li, Wen Fang, Eddy Simoen, Marc Aoulaiche, Y. R. Wu, Jun Luo, Chao Zhao, Cor Claeys: Silicon-film-related random telegraph noise in UTBOX silicon-on-insulator nMOSFETs. ECS Transactions 03/2014; 60(1). DOI:10.1149/06001.0109ecst

43. Xiaodong Tong, Jun Luo, Hao Wu, Qingqing Liang, Huicai Zhong, Huilong Zhu, Chao Zhao: Two-terminal vertical memory cell for cross-point static random access memory applications. Journal of vacuum science & technology. B, Microelectronics and nanometer structures: processing, measurement, and phenomena: an official journal of the American Vacuum Society 03/2014; 32(2). DOI:10.1116/1.4865572

44. Qilong Bao, Jun Luo*, Chao Zhao: Mechanism of TMAl pre-seeding in AlN epitaxy on Si (111) substrate. Vacuum 03/2014; 101. DOI:10.1016/j.vacuum.2013.08.015

45. Jian Deng, Qingbo Liu, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Jun Luo*: A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. Vacuum 01/2014; 99. DOI:10.1016/j.vacuum.2013.05.024

46. Q. Liu, Y. Guo, X. Ke, G. Wang, C. Zhao, H. Liu, J. Luo*: Effect of Pt redistribution on Ni(Pt)Si1-xGex germanosilicide. ECS Transactions 01/2014; 60(1). DOI:10.1149/06001.0139ecst

47. G L Wang, M Moeen, A Abedin, M Kolahdouz, J Luo*, C L Qin, H L Zhu, J Yan, H Z Yin, J F Li, C Zhao, H H Radamson: Optimization of SiGe selective epitaxy for source/drain engineering in 22nm node complementary metal-oxide semiconductor (CMOS). Journal of Applied Physics 09/2013; 114(123511). DOI:10.1063/1.4821238

48. Peng Xu, Chaochao Fu, Cheng Hu, David Wei Zhang, Dongping Wu, Jun Luo, Chao Zhao, Zhi-Bin Zhang, Shi-Li Zhang: Ultra-shallow junctions formed using microwave annealing. Applied Physics Letters 03/2013; 102(12). DOI:10.1063/1.4799030

49. Shu J. Mao, Li C. Zhao, Jun. Luo, Jiang. Yan: A New Solution to the Ni-fill issue for Silicide-last Process. MRS Online Proceeding Library Archive 01/2013; 1559. DOI:10.1557/opl.2013.871

50. Yi Song, Jun Luo, Xiuling Li: Vertically stacked individually tunable nanowire field effect transistors for low power operation with ultrahigh radio frequency linearity. Applied Physics Letters 08/2012; 101(9). DOI:10.1063/1.4747448

51. Yinghua Piao, Zhiwei Zhu, Xindong Gao, Aliaksandra Karabko, Cheng Hu, Zhijun Qiu, Jun Luo, Zhi-Bin Zhang, Shi-Li Zhang, Dongping Wu: Extensive Raman spectroscopic investigation of ultrathin Co1-xNixSi2 films grown on Si(100). Journal of Vacuum Science & Technology A Vacuum Surfaces and Films 07/2012; 30(4). DOI:10.1116/1.4726295

52. Yi Song, Qiuxia Xu, Jun Luo, Huajie Zhou, Jiebin Niu, Qingqing Liang, Chao Zhao: Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE Transactions on Electron Devices 07/2012; 59(7). DOI:10.1109/TED.2012.2194785

53. Jun Luo, Xindong Gao, Zhi-Jun Qiu, Jun Lu, Dongping Wu, Chao Zhao, Junfeng Li, Dapeng Chen, Lars Hultman, Shi-Li Zhang: Thermal Stability and Dopant Segregation for Schottky Diodes With Ultrathin Epitaxial NiSi2-y. IEEE Electron Device Letters 09/2011; 32(8-32). DOI:10.1109/LED.2011.2157301

54. Jun Luo, Dongping Wu, Zhijun Qiu, Jun Lu, Lars Hultman, Mikael Ostling, Shi-Li Zhang: On Different Process Schemes for MOSFETs With a Controllable NiSi-Based Metallic Source/Drain. IEEE Transactions on Electron Devices 08/2011; 58(7-58). DOI:10.1109/TED.2011.2145381

55. Yi Song, Huajie Zhou, Qiuxia Xu, Jun Luo, Haizhou Yin, Jiang Yan, Huicai Zhong: Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status. Journal of Electronic Materials 07/2011; 40(7). DOI:10.1007/s11664-011-1623-z

56. Yi Song, Huajie Zhou, Qiuxia Xu, Jun Luo, Chao Zhao, Qingqing Liang: High performance N- and P-type gate-all-around nanowire MOSFETs fabricated on bulk Si by CMOS-compatible process. 06/2011; DOI:10.1109/DRC.2011.5994423

57. Mikael Ostling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstrom, B. Gunnar Malm: Technology challenges in silicon devices beyond the 16 nm node.

58. Mikael ?stling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstr?m, B. Gunnar Malm: Integration of metallic source/drain (MSD) contacts in nanoscaled CMOS technology.

59. Jun Lu, Jun Luo, Shi-Li Zhang, Mikael Ostling, Lars Hultman: On Epitaxy of Ultrathin Ni1-xPtx Silicide Films on Si(001). Electrochemical and Solid-State Letters 10/2010; 13(10-10). DOI:10.1149/1.3473723

60. Jun Luo, Zhijun Qiu, Chaolin Zha, Zhen Zhang, Dongping Wu, Jun Lu, Johan Akerman, Mikael Ostling, Lars Hultman, Shi-Li Zhang: Surface-energy triggered phase formation and epitaxy in nanometer-thick Ni1-xPtx silicide films. Applied Physics Letters 01/2010; 96(3-3). DOI:10.1063/1.3291679

61. Mikael ?stling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstr?m, B. Gunnar Malm: Nanoscaling of MOSFETs and the implementation of Schottky barrier S/D contacts.

62. Jun Luo, Zhi-Jun Qiu, Zhen Zhang, Mikael Ostling, Shi-Li Zhang: Interaction of NiSi with dopants for metallic source/drain applications. Journal of vacuum science & technology. B, Microelectronics and nanometer structures: processing, measurement, and phenomena: an official journal of the American Vacuum Society 01/2010; 28(1-1). DOI:10.1116/1.3248267

63. Jie Tian, Wei Yan, Yazhao Liu, Jun Luo, Daozhong Zhang, Zhiyuan Li, Min Qiu: Optical Quality Improvement of Si Photonic Devices Fabricated by Focused-Ion-Beam Milling. Journal of Lightwave Technology 11/2009; 27(19-27). DOI:10.1109/JLT.2009.2023607

64. Jun Luo, Zhi-Jun Qiu, David Wei Zhang, P.-E. Hellstrom, Mikael Ostling, Shi-Li Zhang: Effects of Carbon on Schottky Barrier Heights of NiSi Modified by Dopant Segregation. IEEE Electron Device Letters 07/2009; 30(6-30). DOI:10.1109/LED.2009.2018285

65. Valur Gudmundsson, P.-E. Hellstrom, Jun Luo, Jun Lu, Shi-Li Zhang, M. Ostling: Fully Depleted UTB and Trigate N-Channel MOSFETs Featuring Low-Temperature PtSi Schottky-Barrier Contacts With Dopant Segregation. IEEE Electron Device Letters 06/2009; 30(5-30). DOI:10.1109/LED.2009.2015900

66. Zhao Xian Xiong, X. Xue, Hong Qiu, C. Zhang, C. Fang, J. Luo, D. Y. Bao, W. Liu, F. Xiao, Chang Jian Lin, B. Q. You, Zhen Xing Yue: Microwave Dielectric Ceramics and Devices for Wireless Technologies. Key Engineering Materials 01/2008; 368-372. DOI:10.4028/www.scientific.net/KEM.368-372.154

67. S.S. Cheng, J. Luo, Z.X. Xiong: Dielectric properties of Ba(Mg0.2/3Zn0.8/3Nb 2/3)O3 and Ba1-xSrx(Mg 0.2/3Zn0.8/3Nb2/3)O3 microwave ceramics. Key Engineering Materials 01/2007; 336.

68. S. S. Cheng, J. Luo, Zhao Xian Xiong: Dielectric Properties of Ba(Mg 0.2/3 Zn 0.8/3 Nb 2/3 )O 3 and Ba 1-x Sr x (Mg 0.2/3 Zn 0.8/3 Nb 2/3 )O 3 Microwave Ceramics.

69. J. Luo, Z. Y. Pang, Y. S. Lin, Zhao Xian Xiong: Influences of MnCO 3 Doping on Processing Parameters and Dielectric Properties of ZnNb 2 O 6 Microwave Ceramics. Key Engineering Materials 01/2005; 280-283. DOI:10.4028/www.scientific.net/KEM.280-283.23

70. Qingzhu Zhang, Huaxiang Yin, Jun Luo, Hong Yang, Lingkuan Meng, Yudong Li, Zhenhua Wu, Yanbo Zhang, Yongkui Zhang, Changliang Qin, Junjie Li, Jianfeng Gao, Guilei Wang, Wenjuan Xiong, Jinjuan Xiang, Zhangyu Zhou, Shujian Mao, Gaobo Xu, Jinbiao Liu, Yang Qu, Tao Yang, Junfeng Li, Qiuxia Xu, Jiang Yan, Huilong Zhu, Chao Zhao, Tianchun Ye: FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting (IEDM); 09/2016

71. E. Simoen, C. Claeys, W. Fang, J. Luo, C. Zhao: Implications of inelastic tunneling on the depth of oxide traps in MOSFETs assessed by RTS or BTI. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015

72. W. Fang, J. Luo, C. Zhao, E. Simoen, H. Arimura, J. Mitard, A. Thean, C. Claeys: Low-frequency noise study of Ge p-MOSFETs with HfO2/Al2O3/GeOx gate stack. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015

73. Yu Zhang, Jun Lao, Yangsong Liu, Minquan Li, Chao Zhao, Chengjun Huang: Electrical detection of single particle in cylindrical solid-state nanopores. Electron Devices and Solid-State Circuits (EDSSC), 2015 IEEE International Conference on; 06/2015

74. JB Liu, J Luo, JF Li, C Chen, Guilei Wang, T. Chen, T.T. Li, J. Zhong, D.P. Wu, P. Xu, C. Zhao: Co-implantation with microwave annealing for phosphorous shallow-junction formation in Germanium. Ion Implantation Technology (IIT), 2014 20th International Conference on; 06/2014

75. Guilei Wang, Qiang Xu, Tao Yang, Jun Luo, Jinjuan Xiang, Jing Xu, Gaobo Xu, Chunlong Li, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye: Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. 224th ECS Meeting; 11/2013

76. Hushan Cui, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Yihong Lu, Zhaoyun Tang, Xiaobin He, Tingting Li, Jun Luo, Xiaolei Wang, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao: Evaluation of TaN as the wet etch stop layer during the 22nm HKMG gate last CMOS integrations. 224th ECS Meeting; 10/2013

77. Jian Deng, Jun Luo*, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Hanming Wu: A more CMOS process compatible scheme to tune the Schottky Barrier Height of NiSi to electrons by means of dopant segregation (DS) technique. Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on; 10/2012

78. Shu-Juan Mao, Jun Luo, Jiang Yan: Gap fill capability of Ni PVD based on silicide-last process. Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on; 10/2012

Patents Application:

101 patents application, including 83 Chinese and 18 international patents application.

COPYRIGHT (C) 2007 Microelectronice of Chinese Academy of Sciences. ALL RIGHT RESSRVED